SyntaxHighlighter Evolved: VHDL Brush

0

This plugin enables the syntax colorization for the VHDL and Verilog languages by extending the Syntax Highlighter Evolved functionality (and thus, you need to have it installed). For V

Version
Last updated
Active installations
WordPress Version
Tested up to
Rating
Total ratings
Tags
This plugin is outdated and might not be supported anymore.

Description

This plugin enables the syntax colorization for the VHDL and Verilog languages by extending the Syntax Highlighter Evolved functionality (and thus, you need to have it installed).

For VHDL examples you can check some of my posts at Estado Finito. Here is another example, using the Xilinx UCF and VHDL coloring: another awesome example.

For Verilog examples, you can pay a visit to Beyond All Repair or Idle Logic.

The people behind it

  • The VHDL brush is an adaptation done by me of the GeSHi syntax by Alexander ‘E-Razor’ Krause. It can be used as language=”vhdl” or with the shortcode [vhdl] … all code … [/vhdl].
  • The Verilog brush was made by Harry Rose at Beyond All Repair. I am just merely putting it into this plugin.
  • The Xilinx UCF support is my work, although it works for the basic stuff. And basic means colorization for NET, LOC, and comments.

Hope it can be of use to any digital designer out there. Need another language or more features? Don’t hesitate to ask!